Part Number Hot Search : 
SM5326 XN555 HLB120S SKHI1005 BA3402F HD74ACT 0KHT5543 SE8000
Product Description
Full Text Search
 

To Download LXT300Z Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 '$7$ 6+((7
$35,/ iaac
5HYLVLRQ iii
/;7eii=i/;7eii=
*HQHUDO 'HVFULSWLRQ
$GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
)HDWXUHV
'DWD UHFRYHU\ DQG FORFN UHFRYHU\ IXQFWLRQV 5HFHLYH MLWWHU DWWHQXDWLRQ VWDUWLQJ DW e +] H[FHHGV $7/7 3XE ceeiin 3XE eeaiin 3XE eeaien ,78 *iaeien DQG ,78 *iaee o/;7eii= RQO\o /LQH GULYHU ZLWK FRQVWDQW ORZ PDUN DQG VSDFH LPSHG DQFH oe W\SLFDOo 0LQLPXP UHFHLYH VLJQDO RI eii P9 $GDSWLYH DQG VHOHFWDEOH o(ii'6;io VOLFHU OHYHOV IRU LPSURYHG 615 3URJUDPPDEOH WUDQVPLW HTXDOL]HU VKDSHV SXOVHV WR PHHW '6;i SXOVH WHPSODWH IURP i WR cee IW /RFDO DQG UHPRWH ORRSEDFN IXQFWLRQV 'LJLWDO 7UDQVPLW 'ULYHU 0RQLWRU 'LJLWDO 5HFHLYH 0RQLWRU ZLWK /RVV RI 6LJQDO o/26o RXW SXW DQG ILUVW PDUN UHVHW 5HFHLYHU MLWWHU WROHUDQFH iie 8, IURP ei N+] WR iii N+] 0LFURSURFHVVRU FRQWUROODEOH o/;7eii= RQO\o &RPSDWLEOH ZLWK PRVW SRSXODU 3&0 IUDPHUV $YDLODEOH LQ eaSLQ ',3 RU 3/&& 7KH /;7eii= DQG /;7eii= DUH IXOO\ LQWHJUDWHG WUDQV FHLYHUV IRU ERWK 1RUWK $PHULFDQ iieee 0ESV o7io DQG ,QWHUQDWLRQDO eiiea 0ESV o(io DSSOLFDWLRQVi 7KH\ DUH SLQ DQG IXQFWLRQDOO\ FRPSDWLEOH ZLWK VWDQGDUG /;7eiiieii GHYLFHVn ZLWK VRPH FLUFXLW HQKDQFHPHQWVi 7KH /;7eii= SURYLGHV UHFHLYH MLWWHU DWWHQXDWLRQ VWDUWLQJ DW e +]n DQG LV PLFURSURFHVVRU FRQWUROODEOH WKURXJK D VHULDO LQWHUIDFHi 7KH /;7eii= LV SLQ FRPSDWLEOHn EXW GRHV QRW SURYLGH MLWWHU DWWHQXDWLRQ RU D VHULDO LQWHUIDFHi $Q DGYDQFHG WUDQVPLW GULYHU DUFKLWHFWXUH SURYLGHV FRQVWDQW ORZ RXWSXW LPSHGDQFH IRU ERWK PDUNV DQG VSDFHVn IRU LPSURYHG %LW (UURU 5DWH SHUIRUPDQFH RYHU YDULRXV FDEOH QHWZRUN FRQILJ XUDWLRQVi %RWK WUDQVFHLYHUV RIIHU D YDULHW\ RI GLDJQRVWLF IHDWXUHV LQFOXGLQJ WUDQVPLW DQG UHFHLYH PRQLWRULQJi &ORFN LQSXWV PD\ EH GHULYHG IURP DQ RQFKLS FU\VWDO RVFLOODWRU RU IURP GLJLWDO LQSXWVi 7KH\ XVH DQ DGYDQFHG GRXEOHSRO\n GRXEOHPHWDO &026 SURFHVV DQG UHTXLUH RQO\ D VLQJOH e YROW SRZHU VXSSO\i
i e e e c ae a a ii ii ie ie ie ie
ei
$SSOLFDWLRQV
3&0i9RLFH &KDQQHO %DQNV 'DWD &KDQQHO %DQNi&RQFHQWUDWRU 7ii(i PXOWLSOH[HU 'LJLWDO $FFHVV DQG &URVVFRQQHFW 6\VWHPV o'$&6o &RPSXWHU WR 3%; LQWHUIDFH o&3, / '0,o +LJKVSHHG GDWD WUDQVPLVVLRQ OLQHV ,QWHUIDFLQJ &XVWRPHU 3UHPLVHV (TXLSPHQW WR D &68 'LJLWDO /RRS &DUULHU o'/&o WHUPLQDOV
/;7eii= %ORFN 'LDJUDP
MODE Host INT SDI SDO CS SCLK CLKE Hardware EC1 EC2 EC3 RLOOP LLOOP TAOS TPOS TNEG TCLK Control Equalizer Synchronizer Internal Clock Generator Jitter Attenuator Elastic Store Data Latch Receive Monitor Transmit Driver Control MTIP MRING Timing Recovery Data Slicers Constant Impedance Line Driver
TTIP TRING
MCLK XTALIN XTALOUT RCLK RPOS RNEG LOS DPM
Peak Detector
RTIP RRING
L1
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
3,1 $66,*10(176 / 6,*1$/ '(6&5,37,216
)LJXUH ia 3LQ $VVLJQPHQWV
71(* 7326 7&/. 0&/. &/.(i7$26 6&/.i//223 CSi5/223
7DEOH ia 3LQ 'HVFULSWLRQV
3LQ u i 6\P 0&/. ,i2i ', 'HVFULSWLRQ 0DVWHU &ORFNi $ iieee RU eiiea 0+] FORFN LQSXW XVHG WR JHQHUDWH LQWHUQDO FORFNVi 8SRQ /RVV RI 6LJQDO o/26on 5&/. LV GHULYHG IURP 0&/.i /;7eii= 2QO\a ,I 0&/. LV QRW DSSOLHGn WKLV SLQ VKRXOG EH JURXQGHGi 7UDQVPLW &ORFNi Transmit clock input. TPOS and TNEG are sampled on the falling edge of TCLK. If TCLK is grounded, the output drivers enter a high-Z state, except during Remote Loopback. 7UDQVPLW 3RVLWLYH 'DWDi Input for positive pulse to be transmitted on the twisted-pair line. 7UDQVPLW 1HJDWLYH 'DWDi Input for negative pulse to be transmitted on the twisted-pair line. 0RGH 6HOHFW o/;7eii]oi Setting MODE High puts the LXT300Z in the Host Mode. In the Host Mode, the serial interface is used to control the LXT300Z and determine its status. Setting MODE Low puts the LXT300Z in the Hardware (H/W) mode. In the Hardware Mode, the serial interface is disabled and hard-wired pins are used to control configuration and report status. *URXQG o/;7eii=oi 7LH WR *URXQGi
'LJLWDO ,QSXWa '2 'LJLWDO 2XWSXWa $, $QDORJ ,QSXWa $2 $QDORJ 2XWSXWa 6 6XSSO\i
e
7&/.
',
e e e
7326 71(* 02'(
', ', ',
*1'
ii (QWULHV LQ ,i2 FROXPQ DUHa ',
6
ee
/26 77,3 7*1' 79o 75,1* 07,3 05,1*
0&/. 7&/. 7326 71(* 02'( 51(* 5326 5&/. ;7$/,1 ;7$/287 '30 /26 77,3 7*1'
i e e e e c ae a a ii ii ie ie ie
ea eae ec ee ee ee ee ei ei ia ia iae ic ie
75,1* 79o
ie ie ie ie ic iae ia
&/.(i7$26 6&/.i//223 CSi5/223 6'2i(&e 6',i(&e 02'( INTi(&i 51(* 5*1' 5326 59o 5&/. 55,1* ;7$/,1 57,3 ;7$/287 05,1* '30 07,3
e c ae a a ii ii
/;7eii=3(
ee ee ee ee ei ei ia
6'2i(&e 6',i(&e INTi(&i 5*1' 59o 55,1* 57,3
0&/. 7&/. 7326 71(* *1' 51(* 5326 5&/. 57 1i& '30 /26 77,3 7*1'
i e e e e c ae a a ii ii ie ie ie
ea eae ec ee ee ee ee ei ei ia ia iae ic ie
7$26 //223 5/223 (&e (&e (&i 5*1' 59o 55,1* 57,3 05,1* 07,3 75,1* 79o
/;7eii=1(
/;7eii=1(
e e e i ea eae ec
L1
3LQ $VVLJQPHQWV / 6LJQDO 'HVFULSWLRQV
7DEOH ia 3LQ 'HVFULSWLRQV FRQWLQXHG
3LQ u c ae 6\P 51(* 5326 ,i2i '2 '2 'HVFULSWLRQ 5HFHLYH 1HJDWLYH 'DWDa 5HFHLYH 3RVLWLYH 'DWDi Received data outputs. A signal on RNEG corresponds to receipt of a negative pulse on RTIP and RRING. A signal on RPOS corresponds to receipt of a positive pulse on RTIP and RRING. RNEG and RPOS outputs are Non-Return-to-Zero (NRZ). Both outputs are stable and valid on the rising edge of RCLK. LXT300Z only: In the Host Mode, CLKE determines the clock edge at which these outputs are stable and valid. In the Hardware Mode both outputs are stable and valid on the rising edge of RCLK. 5HFRYHUHG &ORFNi This is the clock recovered from the signal received at RTIP and RRING. &U\VWDO ,QSXWa &U\VWDO 2XWSXW o/;7eii=oi An external crystal operating at four times the bit rate (6.176 MHz for DSX-1, 8.192 MHz for E1 applications with an 18.7 pF load) is required to enable the jitter attenuation function of the LXT300Z. These pins may also be used to disable the jitter attenuator by connecting the XTALIN pin to the positive supply through a resistor, and floating the XTALOUT pin. 5HFHLYH 7HUPLQDWLRQ o/;7eii=oi &RQQHFW WR 59o WKURXJK D i N UHVLVWRUi 1R &RQQHFWLRQ o/;7eii=oi 'ULYHU 3HUIRUPDQFH 0RQLWRUi DPM goes High when the transmit monitor loop (MTIP and MRING) does not detect a signal for 63 2 clock periods. DPM remains High until a signal is detected. /RVV RI 6LJQDOi LOS goes High when 175 consecutive spaces have been detected. LOS returns Low when a mark is detected. 7UDQVPLW 7LSa 7UDQVPLW 5LQJi Differential Driver Outputs. These outputs are designed to drive a 25 load. The transmitter will drive 100 shielded twisted-pair cable through a 1:2 step-up transformer without additional components. To drive 75 coaxial cable, two 2.2 resistors are required in series with the transformer. 7UDQVPLW *URXQGi *URXQG UHWXUQ IRU WKH WUDQVPLW GULYHUV SRZHU VXSSO\ 79oi 7UDQVPLW 3RZHU 6XSSO\i oe 9'& SRZHU VXSSO\ LQSXW IRU WKH WUDQVPLW GULYHUVi 79o PXVW QRW YDU\ IURP 59o E\ PRUH WKDQ `iie 9i 0RQLWRU 7LSa 0RQLWRU 5LQJi 7KHVH SLQV DUH XVHG WR PRQLWRU WKH WLS DQG ULQJ WUDQVPLW RXWSXWVi 7KH WUDQVFHLYHU FDQ EH FRQQHFWHG WR PRQLWRU LWV RZQ RXWSXW RU WKH RXWSXW RI DQRWKHU /;7eii= RU /;7eii= RQ WKH ERDUGi 5HFHLYH 7LSa 5HFHLYH 5LQJi The AMI signal received from the line is applied at these pins. A center-tapped, center-grounded, 2:1 step-up transformer is required on these pins. Data and clock from the signal applied at these pins are recovered and output on the RPOS/RNEG and RCLK pins. 5HFHLYH 3RZHU 6XSSO\i oe 9'& SRZHU VXSSO\ IRU DOO FLUFXLWV H[FHSW WKH WUDQVPLW GULY HUVi o7UDQVPLW GULYHUV DUH VXSSOLHG E\ 79oio 5HFHLYH *URXQGi *URXQG UHWXUQ IRU SRZHU VXSSO\ 59oi
'LJLWDO ,QSXWa '2 'LJLWDO 2XWSXWa $, $QDORJ ,QSXWa $2 $QDORJ 2XWSXWa 6 6XSSO\i
a a ii
5&/. ;7$/,1 ;7$/287
'2 $, $2
a ii ii
57 1i& '30
$, '2
ie ie ic ie ie iae ia ia ei ei ee
/26 77,3 75,1* 7*1' 79o 07,3 05,1* 57,3 55,1* 59o 5*1'
'2 $2 $2 6 6 $, $, $, $, 6 6
ii (QWULHV LQ ,i2 FROXPQ DUHa ',
L1
ee
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
7DEOH ia 3LQ 'HVFULSWLRQV FRQWLQXHG
3LQ u ee 6\P INT ,i2i '2 'HVFULSWLRQ ,QWHUUXSW o+RVW 0RGHoi This LXT300Z Host Mode output goes Low to flag the host processor when LOS or DPM go active. INT is an open-drain output and should be tied to power supply RV+ through a resistor. INT is reset by clearing the respective register bit (LOS and/or DPM). (TXDOL]HU &RQWURO i o+i: 0RGHoi The signal applied at this pin in the LXT300Z Hardware Mode and LXT301Z is used in conjunction with EC2 and EC3 inputs to determine shape and amplitude of AMI output transmit pulses. 6HULDO 'DWD ,Q o+RVW 0RGHoi The serial data input stream is applied to this pin when the LXT300Z operates in the Host Mode. SDI is sampled on the rising edge of SCLK. (TXDOL]HU &RQWURO e o+i: 0RGHoi The signal applied at this pin in the LXT300Z Hardware Mode and LXT301Z is used in conjunction with EC1 and EC3 inputs to determine shape and amplitude of AMI output transmit pulses. 6HULDO 'DWD 2XW o+RVW 0RGHoi The serial data from the on-chip register is output on this pin in the LXT300Z Host Mode. If CLKE is High, SDO is valid on the rising edge of SCLK. If CLKE is Low SDO is valid on the falling edge of SCLK. This pin goes to a high-impedance state when the serial port is being written to and when CS is High. (TXDOL]HU &RQWURO e o+i: 0RGHoi The signal applied at this pin in the LXT300Z Hardware Mode and LXT301Z is used in conjunction with EC1 and EC2 inputs to determine shape and amplitude of AMI output transmit pulses. &KLS 6HOHFW o+RVW 0RGHoi This input is used to access the serial interface in the LXT300Z Host Mode. For each read or write operation, CS must transition from High to Low, and remain Low. 5HPRWH /RRSEDFN o+i: 0RGHoi This input controls loopback functions in the LXT300Z Hardware Mode and LXT301Z. Setting RLOOP High enables the Remote Loopback mode. Setting both RLOOP and LLOOP High causes a Reset. 6HULDO &ORFN o+RVW 0RGHoi This clock is used in the LXT300Z Host Mode to write data to or read data from the serial interface registers. /RFDO /RRSEDFN o+i: 0RGHoi This input controls loopback functions in the LXT300Z Hardware Mode and LXT301Z. Setting LLOOP High enables the Local Loopback Mode. &ORFN (GJH o+RVW 0RGHoi Setting CLKE High causes RPOS and RNEG to be valid on the falling edge of RCLK, and SDO to be valid on the rising edge of SCLK. When CLKE is Low, RPOS and RNEG are valid on the rising edge of RCLK, and SDO is valid on the falling edge of SCLK. 7UDQVPLW $OO 2QHV o+i: 0RGHoi When High, TAOS causes the LXT300Z (Hardware Mode) and LXT301Z to transmit a continuous stream of marks at the TCLK frequency. Activating TAOS causes TPOS and TNEG inputs to be ignored. TAOS is inhibited during Remote Loopback.
'LJLWDO 2XWSXWa $, $QDORJ ,QSXWa $2 $QDORJ 2XWSXWa 6 6XSSO\i
(&i
',
ee
6', (&e
', ',
ee
6'2
'2
(&e
',
ec
CS
',
5/223
',
eae
6&/. //223
', ',
ea
&/.(
',
7$26
',
ii (QWULHV LQ ,i2 FROXPQ DUHa ',
'LJLWDO ,QSXWa '2
ee
L1
)XQFWLRQDO 'HVFULSWLRQ
decoupling circuitry. Isolation between the transmit and receive circuits is provided internally.
)81&7,21$/ '(6&5,37,21
The LXT300Z and LXT301Z are fully integrated PCM transceivers for both 1.544 Mbps (DSX-1) and 2.048 Mbps (E1) applications. Both transceivers allow fullduplex transmission of digital data over existing twistedpair installations. The first page of this data sheet shows a simplified block diagram of the LXT300Z; Figure 2 shows the LXT301Z. The LXT301Z is similar to the LXT300Z, but does not incorporate the Jitter Attenuator and associated Elastic Store, or the serial interface port. The LXT300Z and LXT301Z transceivers each interface with two twisted-pair lines (one twisted-pair for transmit, one twisted-pair for receive) through standard pulse transformers and appropriate resistors.
5HVHW 2SHUDWLRQ o/;7eii= DQG /;7eii=o
Upon power up, the transceiver is held static until the power supply reaches approximately 3 V. Upon crossing this threshold, the device begins a 32 ms reset cycle to calibrate the transmit and receive delay lines and lock the Phase Lock Loop to the receive line. A reference clock is required to calibrate the delay lines. The transmitter reference is provided by TCLK. MCLK provides the receiver reference for the LXT301Z. The crystal oscillator provides the receiver reference in the LXT300Z. If the LXT300Z crystal oscillator is grounded, MCLK is used as the receiver reference clock. The transceiver can also be reset from the Host or Hardware Mode. In Host Mode, reset is commanded by simultaneously writing RLOOP and LLOOP to the register. In Hardware Mode, reset is commanded by holding RLOOP and LLOOP High simultaneously for 200 ns. Reset is initiated on the falling edge of the reset request. In either mode, reset clears and sets all registers to 0 and then begins calibration.
3RZHU 5HTXLUHPHQWV
The LXT300Z and LXT301Z are low-power CMOS devices. Each operates from a single +5 V power supply which can be connected externally to both the transmitter and receiver. However, the two inputs must be within .3V of each other, and decoupled to their respective grounds separately. Refer to Application Information for typical )LJXUH ea /;7eii= %ORFN 'LDJUDP
(&in (&en (&e 7326 71(* 7&/. 0&/. 5326 51(* /26 '30
&RQWURO (TXDOL]HU 6\QFKURQL]HU ,QWHUQDO &ORFN *HQHUDWRU 7LPLQJ 5HFRYHU\ 'DWD /DWFK 5HFHLYH 0RQLWRU
&RQVWDQW ,PSHGDQFH /LQH 'ULYHU
77,3 75,1*
'DWD 6OLFHUV 3HDN 'HWHFWRU
57,3 55,1*
7UDQVPLW 'ULYHU 0RQLWRU
07,3 05,1*
L1
ee
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
5HFHLYHU
7KH /;7eii= DQG /;7eii= UHFHLYHUV DUH LGHQWLFDO H[FHSW IRU WKH -LWWHU $WWHQXDWRU DQG (ODVWLF 6WRUHi 7KH IROORZLQJ GLVFXVVLRQ DSSOLHV WR ERWK WUDQVFHLYHUV H[FHSW ZKHUH QRWHGi 7KH VLJQDO LV UHFHLYHG IURP RQH WZLVWHGSDLU OLQH RQ HDFK VLGH RI D FHQWHUJURXQGHG WUDQVIRUPHUi 3RVLWLYH SXOVHV DUH UHFHLYHG DW 57,3 DQG QHJDWLYH SXOVHV DUH UHFHLYHG DW 55,1*i 5HFRYHUHG GDWD LV RXWSXW DW 5326 DQG 51(*n DQG WKH UHFRYHUHG FORFN LV RXWSXW DW 5&/.i 5HIHU WR WKH 7HVW 6SHFLILFDWLRQV VHFWLRQ IRU UHFHLYHU WLPLQJi 7KH VLJQDO UHFHLYHG DW 5326 DQG 51(* LV SURFHVVHG WKURXJK WKH SHDN GHWHFWRU DQG GDWD VOLFHUVi 7KH SHDN GHWHF WRU VDPSOHV WKH LQSXWV DQG GHWHUPLQHV WKH PD[LPXP YDOXH RI WKH UHFHLYHG VLJQDOi $ SHUFHQWDJH RI WKH SHDN YDOXH LV SURYLGHG WR WKH GDWD VOLFHUV DV D WKUHVKROG OHYHO WR HQVXUH RSWLPXP VLJQDOWRQRLVH UDWLRi )RU '6;i DSSOLFDWLRQV oGHWHUPLQHG E\ (TXDOL]HU &RQWURO LQSXWV (&ia(&e iiio WKH WKUHVKROG LV VHW WR aeio RI WKH SHDN YDOXHi 7KLV WKUHVK ROG LV PDLQWDLQHG DERYH ceo IRU XS WR ie VXFFHVVLYH ]HURV RYHU WKH UDQJH RI VSHFLILHG RSHUDWLQJ FRQGLWLRQVi )RU (i DSSOLFDWLRQV o(& LQSXWV iiio WKH WKUHVKROG LV VHW WR eioi 7KH UHFHLYHU LV FDSDEOH RI DFFXUDWHO\ UHFRYHULQJ VLJQDOV ZLWK XS WR ieic G% RI DWWHQXDWLRQ oIURP eie 9on FRUUH VSRQGLQJ WR D UHFHLYHG VLJQDO OHYHO RI DSSUR[LPDWHO\ eii P9i 0D[LPXP OLQH OHQJWK LV ieii IHHW RI $%$0 FDEOH oDSSUR[LPDWHO\ c G%oi 5HJDUGOHVV RI UHFHLYHG VLJQDO OHYHOn WKH SHDN GHWHFWRUV DUH KHOG DERYH D PLQLPXP OHYHO RI eii P9 WR SURYLGH LPPXQLW\ IURP LPSXOVLYH QRLVHi o'XULQJ /26n 5326 DQG 51(* DUH VTXHOFKHG LI WKH UHFHLYHG LQSXW VLJQDO GURSV WR eii P9io $IWHU SURFHVVLQJ WKURXJK WKH GDWD VOLFHUVn WKH UHFHLYHG VLJ QDO LV URXWHG WR WKH GDWD DQG FORFN UHFRYHU\ VHFWLRQVn DQG WR WKH UHFHLYH PRQLWRUi ,Q WKH /;7eii= RQO\n UHFRYHUHG FORFN VLJQDOV DUH VXSSOLHG WR WKH MLWWHU DWWHQXDWRU DQG WKH GDWD ODWFKi 7KH UHFRYHUHG GDWD LV SDVVHG WR WKH HODVWLF VWRUH ZKHUH LW LV EXIIHUHG DQG V\QFKURQL]HG ZLWK WKH GHMLWWHUHG UHFRYHUHG FORFN o5&/.oi 7KH GDWD DQG FORFN UHFRYHU\ FLU FXLWV KDYH DQ LQSXW MLWWHU WROHUDQFH VLJQLILFDQWO\ EHWWHU WKDQ UHTXLUHG E\ 3XE ceeiii
SLQ JRHV +LJKn DQG WKH 5&/. RXWSXW LV UHSODFHG ZLWK WKH 0&/.i /26 LV UHVHW ZKHQ WKH ILUVW PDUN LV UHFHLYHGi (In the LXT300Z only, if MCLK is not supplied the RCLK output will be replaced with the centered crystal clock.)
-LWWHU $WWHQXDWLRQ o/;7eii= 2QO\o
,Q WKH /;7eii= RQO\n UHFRYHUHG FORFN VLJQDOV DUH VXSSOLHG WR WKH MLWWHU DWWHQXDWRU DQG WKH GDWD ODWFKi 7KH UHFRYHUHG GDWD LV SDVVHG WR WKH HODVWLF VWRUH ZKHUH LW LV EXIIHUHG DQG V\QFKURQL]HG ZLWK WKH GHMLWWHUHG UHFRYHUHG FORFN o5&/.oi -LWWHU DWWHQXDWLRQ RI WKH /;7eii= FORFN DQG GDWD RXWSXWV oVHH )LJXUH eo LV SURYLGHG E\ D -LWWHU $WWHQXDWLRQ /RRS o-$/o DQG DQ (ODVWLF 6WRUH o(6oi $Q H[WHUQDO FU\VWDO RVFLO ODWLQJ DW e WLPHV WKH ELW UDWH SURYLGHV FORFN VWDELOL]DWLRQi 5HIHU WR $SSOLFDWLRQ ,QIRUPDWLRQ IRU FU\VWDO VSHFLILFDWLRQVi 7KH (6 LV D ee [ eELW UHJLVWHUi 5HFRYHUHG GDWD LV FORFNHG LQWR WKH (6 ZLWK WKH UHFRYHUHG FORFN VLJQDOn DQG FORFNHG RXW RI WKH (6 ZLWK WKH GHMLWWHUHG FORFN IURP WKH -$/i :KHQ WKH ELW FRXQW LQ WKH (6 LV ZLWKLQ WZR ELWV RI RYHUIORZLQJ RU XQGHUIORZLQJn WKH (6 DGMXVWV WKH RXWSXW FORFN E\ iia RI D ELW SHULRGi 7KH (6 SURGXFHV DQ DYHUDJH GHOD\ RI ic ELWV LQ WKH UHFHLYH SDWKi
7UDQVPLWWHU
7KH WUDQVPLWWHU FLUFXLWV LQ WKH /;7eii= DQG /;7eii= DUH LGHQWLFDOi 7KH IROORZLQJ GLVFXVVLRQ DSSOLHV WR ERWK PRGHOVi 'DWD UHFHLYHG IRU WUDQVPLVVLRQ RQWR WKH OLQH LV FORFNHG VHUL DOO\ LQWR WKH GHYLFH DW 7326 DQG 71(*i ,QSXW V\QFKURQL ]DWLRQ LV VXSSOLHG E\ WKH WUDQVPLW FORFN o7&/.oi 7KH WUDQVPLWWHG SXOVH VKDSH LV GHWHUPLQHG E\ (TXDOL]HU &RQWURO VLJQDOV (&i WKURXJK (&e DV VKRZQ LQ 7DEOH ei 5HIHU WR WKH 7HVW 6SHFLILFDWLRQV VHFWLRQ IRU PDVWHU DQG WUDQVPLW FORFN WLPLQJ FKDUDFWHULVWLFVi 6KDSHG SXOVHV DUH DSSOLHG WR WKH $0, OLQH GULYHU IRU WUDQVPLVVLRQ RQWR WKH OLQH DW 77,3 DQG 75,1*i (TXDOL]HU &RQWURO VLJQDOV DUH KDUGZLUHG WR WKH /;7eii=i /;7eii= 2QO\a (TXDOL]HU &RQWURO VLJQDOV PD\ EH KDUG ZLUHG LQ WKH +DUGZDUH 0RGHn RU LQSXW DV SDUW RI WKH VHULDO GDWD VWUHDP o6',o LQ WKH +RVW 0RGHi 3XOVHV FDQ EH VKDSHG IRU HLWKHU iieee RU eiiea 0ESV DSSOL FDWLRQVi '6;i DSSOLFDWLRQV ZLWK iieee 0ESV SXOVHV FDQ EH SURJUDPPHG WR PDWFK OLQH OHQJWKV IURP i WR cee IHHW RI $%$0 FDEOHi 7KH /;7eii= DQG /;7eii= DOVR PDWFK )&& VSHFLILFDWLRQV IRU &68 DSSOLFDWLRQVi 3XOVHV DW eiiea 0ESV FDQ GULYH FRD[LDO RU VKLHOGHG WZLVWHGSDLU OLQHV XVLQJ DSSURSULDWH UHVLVWRUV LQ OLQH ZLWK WKH RXWSXW WUDQVIRUPHUi
5HFHLYH o/RVV RI 6LJQDOo 0RQLWRU
7KH UHFHLYH PRQLWRU JHQHUDWHV D /RVV RI 6LJQDO o/26o RXW SXW XSRQ UHFHLSW RI iaee FRQVHFXWLYH ]HURV oVSDFHVoi 7KH UHFHLYHU PRQLWRU ORDGV D GLJLWDO FRXQWHU DW WKH 5&/. IUH TXHQF\i 7KH FRXQW LV LQFUHPHQWHG HDFK WLPH D ]HUR LV UHFHLYHGn DQG UHVHW WR ]HUR HDFK WLPH D RQH oPDUNo LV UHFHLYHGi 8SRQ UHFHLSW RI iaee FRQVHFXWLYH ]HURV WKH /26
ec
L1
)XQFWLRQDO 'HVFULSWLRQ
'ULYHU 3HUIRUPDQFH 0RQLWRU
The transceiver incorporates an advanced Driver Performance Monitor (DPM) in parallel with the TTIP and TRING at the output transformer. The DPM circuitry uses four comparators and a 150 ns pulse discriminator to filter glitches. The DPM output level goes high upon detection of 63 consecutive zeros, and is cleared when a one is detected on the transmit line, or when a reset command is received. The DPM output also goes High to indicate a ground on TTIP or TRING. A ground fault induced DPM flag is automatically cleared when the ground condition is corrected (chip reset is not required).
YDOLGn UHODWLYH WR WKH 6HULDO &ORFN o6&/.o RU 5&/. DV OLVWHG LQ 7DEOH ei 7KH /;7eii= VHULDO SRUW LV DGGUHVVHG E\ VHWWLQJ ELW $e LQ WKH $GGUHVVi&RPPDQG E\WHn FRUUHVSRQGLQJ WR DGGUHVV ici 7KH /;7eii= FRQWDLQV RQO\ D VLQJOH RXWSXW GDWD UHJLVWHU VR QR FRPSOH[ FKLS DGGUHVVLQJ VFKHPH LV UHTXLUHGi 7KH UHJ LVWHU LV DFFHVVHG E\ FDXVLQJ WKH &KLS 6HOHFW (CS) LQSXW WR WUDQVLWLRQ IURP +LJK WR /RZi %LW i RI WKH VHULDO $GGUHVVi &RPPDQG E\WH SURYLGHV 5HDGi:ULWH FRQWURO ZKHQ WKH FKLS LV DFFHVVHGi $ ORJLF i LQGLFDWHV D UHDG RSHUDWLRQn DQG D ORJLF i LQGLFDWHV D ZULWH RSHUDWLRQi 7DEOH e OLVWV VHULDO GDWD RXWSXW ELW FRPELQDWLRQV IRU HDFK VWDWXVi 6HULDO GDWD ,i2 WLP LQJ FKDUDFWHULVWLFV DUH VKRZQ LQ WKH 7HVW 6SHFLILFDWLRQV VHF WLRQi
/LQH &RGH
7KH /;7eii= DQG /;7eii= WUDQVPLW GDWD DV D eio $0, OLQH FRGH DV VKRZQ LQ )LJXUH ei 3RZHU FRQVXPSWLRQ LV UHGXFHG E\ DFWLYDWLQJ WKH $0, OLQH GULYHU RQO\ WR WUDQVPLW D PDUNi 7KH RXWSXW GULYHU LV GLVDEOHG GXULQJ WUDQVPLVVLRQ RI D VSDFHi
+DUGZDUH 0RGH 2SHUDWLRQ o/;7eii= DQG /;7eii=o
In Hardware Mode the transceiver is accessed and controlled through individual pins. With the exception of the INT and CLKE functions, Hardware Mode provides all the functions provided in the Host Mode. In the Hardware Mode RPOS and RNEG outputs are valid on the rising edge of RCLK. The LXT301Z operates in Hardware Mode at all times. LXT300Z Only: To operate in Hardware Mode, MODE must be set Low. Equalizer Control signals (EC1 through EC3) are input on the Interrupt, Serial Data In and Serial Data Out pins. Diagnostic control for Remote Loopback (RLOOP), Local Loopback (LLOOP), and Transmit All Ones (TAOS) modes is provided through the individual pins used to control serial interface timing in the Host Mode. )LJXUH ea eio $0, &RGLQJ
%LW &HOO 77,3 i 75,1* i i
2SHUDWLQJ 0RGHV
The LXT300Z and LXT301Z transceivers can be controlled through hard-wired pins (Hardware Mode). Both transceivers can also be commanded to operate in one of several diagnostic modes. LXT300Z Only: The LXT300Z can be controlled by a microprocessor through a serial interface (Host Mode). The mode of operation is set by the MODE pin logic level.
+RVW 0RGH 2SHUDWLRQ o/;7eii= 2QO\o
7R DOORZ D KRVW PLFURSURFHVVRU WR DFFHVV DQG FRQWURO WKH /;7eii= WKURXJK WKH VHULDO LQWHUIDFHn 02'( LV VHW WR ii 7KH VHULDO LQWHUIDFH o6',i6'2o XVHV D icELW ZRUG FRQVLVW LQJ RI DQ aELW &RPPDQGi$GGUHVV E\WH DQG DQ aELW 'DWD E\WHi )LJXUH e VKRZV WKH VHULDO LQWHUIDFH GDWD VWUXFWXUH DQG UHODWLYH WLPLQJi 7KH +RVW 0RGH SURYLGHV D ODWFKHG ,QWHUUXSW RXWSXW oINTo ZKLFK LV WULJJHUHG E\ D FKDQJH LQ WKH /RVV RI 6LJQDO o/26o DQGiRU 'ULYHU 3HUIRUPDQFH 0RQLWRU o'30o ELWVi 7KH ,QWHU UXSW LV FOHDUHG ZKHQ WKH LQWHUUXSW FRQGLWLRQ QR ORQJHU H[LVWVn DQG WKH KRVW SURFHVVRU HQDEOHV WKH UHVSHFWLYH ELW LQ WKH VHULDO LQSXW GDWD E\WHi +RVW 0RGH DOVR DOORZV FRQWURO RI WKH VHULDO GDWD DQG UHFHLYH GDWD RXWSXW WLPLQJi 7KH &ORFN (GJH o&/.(o VLJQDO GHWHUPLQHV ZKHQ WKHVH RXWSXWV DUH
L1
eae
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
i
7DEOH ea /;7eii= 6HULDO 'DWD 2XWSXW %LWV o6HH )LJXUH eo %LW 'e i i i i i i i i %LW 'c i i i i i i i i %LW 'ae i i i i i i i i 6WDWXV 5HVHW KDV RFFXUUHGn RU QR SURJUDP LQSXWi 7$26 LV DFWLYHi /RFDO /RRSEDFN LV DFWLYHi 7$26 DQG /RFDO /RRSEDFN DUH DFWLYHi 5HPRWH /RRSEDFN LV DFWLYHi '30 KDV FKDQJHG VWDWH VLQFH ODVW &OHDU '30 RFFXUUHGi /26 KDV FKDQJHG VWDWH VLQFH ODVW &OHDU /26 RFFXUUHGi /26 DQG '30 KDYH ERWK FKDQJHG VWDWH VLQFH ODVW &OHDU '30 DQG &OHDU /26 RFFXUUHGi
7DEOH ea 9DOLG &/.( 6HWWLQJV &/.( /RZ 2XWSXW 5326 51(* 6'2 5326 51(* 6'2 &ORFN 5&/. 5&/. 6&/. 5&/. 5&/. 6&/. 9DOLG (GJH 5LVLQJ 5LVLQJ )DOOLQJ )DOOLQJ )DOOLQJ 5LVLQJ
+LJK
7DEOH ea (TXDOL]HU &RQWURO ,QSXWV (&e i i i i i i i (&e i i i i i i i (&i i i i i i i i /LQH /HQJWKi &DEOH /RVVe $SSOLFDWLRQ %LW 5DWH
i a iee IW $%$0 iic G% iee a ecc IW $%$0 iie G% ecc a eaa IW $%$0 iia G% eaa a eee IW $%$0 eie G% eee a cee IW $%$0 eii G% ,78 5HFRPPHQGDWLRQ *iaeie )&& 3DUW can 2SWLRQ $
'6;i
iieee 0ESV
(i &68
eiiea 0ESV iieee 0ESV
ii /LQH OHQJWK IURP WUDQVFHLYHU WR '6;i FURVVFRQQHFW SRLQWi ei 0D[LPXP FDEOH ORVV DW aeaee N+]i
ea
L1
)XQFWLRQDO 'HVFULSWLRQ
'LDJQRVWLF 0RGH 2SHUDWLRQ
7UDQVPLW $OO 2QHV
,Q 7UDQVPLW $OO 2QHV o7$26o PRGH WKH 7326 DQG 71(* LQSXWV WR WKH WUDQVFHLYHU DUH LJQRUHGi 7KH WUDQV FHLYHU WUDQVPLWV D FRQWLQXRXV VWUHDP RI RQHV ZKHQ WKH 7$26 PRGH LV DFWLYDWHGi 7$26 FDQ EH FRPPDQGHG VLPXOWDQHRXVO\ ZLWK /RFDO /RRSEDFNn EXW LV LQKLELWHG GXULQJ 5HPRWH /RRSEDFNi
WR RXWSXW WKH 5326n 51(* DQG 5&/. VLJQDOV UHFHLYHG IURP WKH WZLVWHGSDLU OLQHi
/RFDO /RRSEDFN
5HPRWH /RRSEDFN
,Q 5HPRWH /RRSEDFN o5/223o PRGHn WKH WUDQVPLW GDWD DQG FORFN LQSXWV o7326n 71(* DQG 7&/.o DUH LJQRUHGi 7KH 5326 DQG 51(* RXWSXWV DUH ORRSHG EDFN WKURXJK WKH WUDQVPLW FLUFXLWV DQG RXWSXW RQ 77,3 DQG 75,1* DW WKH 5&/. IUHTXHQF\i 5HFHLYHU FLUFXLWV DUH XQDIIHFWHG E\ WKH 5/223 FRPPDQG DQG FRQWLQXH
,Q /RFDO /RRSEDFN o//223o PRGHn WKH UHFHLYHU FLU FXLWV DUH LQKLELWHGi 7KH WUDQVPLW GDWD DQG FORFN LQSXWV o7326n 71(* DQG 7&/.o DUH ORRSHG EDFN RQWR WKH UHFHLYH GDWD DQG FORFN RXWSXWV o5326n 51(* DQG 5&/.o WKURXJK WKH 5[ MLWWHU DWWHQXDWRUi 7KH WUDQVPLW WHU FLUFXLWV DUH XQDIIHFWHG E\ WKH //223 FRPPDQGi 7KH 7326 DQG 71(* LQSXWV oRU D VWUHDP RI RQHV LI WKH 7$26 FRPPDQG LV DFWLYHo ZLOO EH WUDQVPLWWHG QRU PDOO\i /;7eii= 2QO\a :KHQ XVHG LQ WKLV PRGH ZLWK D FU\V WDOn WKH WUDQVFHLYHU FDQ EH XVHG DV D VWDQGDORQH MLWWHU DWWHQXDWRUi
)LJXUH ea /;7eii= 6HULDO ,QWHUIDFH 'DWD 6WUXFWXUH
CS SCLK
ADDRESS / COMMAND BYTE
DATA INPUT / OUTPUT BYTE
SDI/ SDO
R/W
A0
A1
A2
A3
A4
A5
A6
D0
D1
D2
D3
D4
D5
D6
D7
ADDRESS / COMMAND BYTE
R/W
0 A0
0
0
0
1 A4
0
X A6
R/W- = 1: Read R/W- = 0: Write
127( 2XWSXW GDWD E\WH LV WKH VDPH DV WKH LQSXW GDWD E\WH H[FHSW IRU ELWV 'aeaae! VKRZQ LQ 7DEOH ei
CLEAR INTERRUPTS INPUT DATA BYTE
X=DON'T CARE
SET LOOPBACKS OR RESET
LOS
D0 (LSB)
DFM
EC1
EC2
EC3
REMOTE
LOCAL
TAOS
D7(MSB)
L1
ea
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
LXT300Z is shown in the Host Mode with a typical T1/ ESF framer providing the digital interface with the host controller. Both devices are controlled through the serial interface. An LXP600A Clock Adapter (CLAD) provides the 2.048 MHz system backplane clock, locked to the recovered 1.544 MHz clock signal. The power supply inputs are tied to a common bus with appropriate decoupling capacitors installed (68 F on the transmit side, 1.0 F and 0.1 F on the receive side).
$33/,&$7,21 ,1)250$7,21 /;7eii= +RVW 0RGH iieee 0ESV 7i ,QWHUIDFH $SSOLFDWLRQ
Figure 5 is a typical 1.544 Mbps T1 application. The
)LJXUH ea 7\SLFDO /;7eii= iieee 0ESV 7i $SSOLFDWLRQ o+RVW 0RGHo
72 +267 &21752//(5
7i (6) )5$0(5
iieee 0+] &/2&.
/;7eii= 75$16&(,9(5
706<1&
7)6<1&
0&/.
&/.(
&6
7&/.
7&/.
6&/.
6'2
7326
7326
&6 o9
6',
71(* 9o
71(*
6'2
,17
636
02'(
6', ee . ,17 i9 iii )
6&/.
51(*
51(*
5326 iieee 0+]
5326
5*1'
5&/. 127( i
5&/.
59o i )
;7$/,1 ciiaec 0+] /;3cii$icie &/., &/$' eiiea 0+] &/.2 )6, '30 ;7$/287
55,1* eei 57,3 eei 05,1* 127( e i a i ai 7i /,1( 5(&(,9(
/26 127( i 7+( /;7eii= ,6 &203$7,%/( :,7+ $ :,'( 9$5,(7< 2) ',*,7$/ )5$0,1* $1' 6,*1$/,1* '(9,&(6n ,1&/8',1* 7+( '6eiai$n '6eiai$n 07aaaecn $1' 5aiaeii :+(1 /;7eii= ,6 &211(&7(' 72 7+( &5266&211(&7 )5$0( 7+528*+ $ /2: /(9(/ 021,725 -$&.n 5(&(,9( 75$16)250(5 6+28/' %( i a e a e 72 %2267 7+( ,1387 6,*1$/i
07,3
121 32/$5,=(' iieae ) iieee 0+] 7i /,1( 75$160,7
77,3
75,1* iae
127( e
7*1'
79o
ca )
o9
eii
L1
$SSOLFDWLRQ ,QIRUPDWLRQ
/;7eii= +DUGZDUH 0RGH (i ,QWHUIDFH $SSOLFDWLRQ
OLQH UHVLVWRUV DUH QRW UHTXLUHG IRU WUDQVPLVVLRQ RQ iei VKLHOGHG WZLVWHGSDLU OLQHVi $V LQ WKH 7i DSSOLFDWLRQ )LJXUH en WKLV FRQILJXUDWLRQ LV LOOXVWUDWHG ZLWK D FU\VWDO LQ SODFH WR HQDEOH WKH /;7eii= -LWWHU $WWHQXDWLRQ /RRSn DQG D VLQJOH )LJXUH c LV D W\SLFDO eiiea 0ESV (i DSSOLFDWLRQi 7KH SRZHU VXSSO\ EXVi 7KH KDUGZLUHG FRQWURO OLQHV IRU 7$26n /;7eii= LV VKRZQ LQ +DUGZDUH 0RGH ZLWK D W\SLFDO (ii //223 DQG 5/223 DUH LQGLYLGXDOO\ FRQWUROODEOHn DQG WKH &5&e IUDPHUi 5HVLVWRUV DUH LQVWDOOHG LQ OLQH ZLWK WKH WUDQV //223 DQG 5/223 OLQHV DUH DOVR WLHG WR D VLQJOH FRQWURO PLW WUDQVIRUPHU IRU ORDGLQJ D aee FRD[LDO FDEOHi 7KH LQ IRU WKH 5HVHW IXQFWLRQ )LJXUH ca 7\SLFDO /;7eii= aee (i $SSOLFDWLRQ o+DUGZDUH 0RGHo
(ii&5&e )5$0(5
127( e
eiiea 0+] &ORFN
0&/. 7&/. 7326 71(* 02'(
75$16&(,9(5 7$26 //223 5/223 (&e (&e (&i 5*1' 59o 55,1* 57,3 05,1* 07,3 75,1* 79o
iei iei i9 iii F o i )
/;7eii=
iii N 9o eei N
7&/. 7326 71(*
ii N
ii N
51(* 5326 5&/.
51(* 5326 5&/. ;7$/,1 aiiae 0+] ;7$/287 '30 /26 77,3 7*1'
o ca )
iaiai eiiea 0ESV 5(&(,9(
127( i
127( e
eie 5(6,67256 5(48,5(' 21/< )25 aee &2$;,$/ &$%/(i 127 5(48,5(' )25 75$160,66,21 2172 iei &$%/(i 7+( /;7eii= ,6 &203$7,%/( :,7+ $ :,'( 9$5,(7< 2) )5$0,1* $1' 6,*1$/,1* '(9,&(6n ,1&/8',1* 7+( '6eiai$n 07aaaean $1' 5aiaeii
12132/$5,=(' iae iieae ) eie eie eiiea 0ESV 75$160,7
127( i
9o
7DEOH ea /;7eii= &U\VWDO 6SHFLILFDWLRQV o([WHUQDOo 3DUDPHWHU )UHTXHQF\ )UHTXHQF\ VWDELOLW\ 7i (i aiiae 0+] ` ei SSP # ee & ` ee SSP IURP ei & WR oae & o5HI ee & UHDGLQJo &/ ii S) WR iaiae S)n o) ae WR iie SSP &/ iaiae S) WR ee S)n ) ae WR iie SSP ei 0D[LPXP $7 3DUDOOHO eii P: )XQGDPHQWDO +&ea o5e:on&2 ae S) PD[LPXP &0 iae I) W\SLFDO eii ciiaec 0+] ` ei SSP # ee & ` ee SSP IURP ei & WR ae & o5HI ee & UHDGLQJo 3XOODELOLW\ &/ ii S) WR iaiae S)n o) iaee WR iae SSP &/ iaiae S) WR ee S)n ) iaee WR iae SSP (IIHFWLYH VHULHV UHVLVWDQFH ei 0D[LPXP &U\VWDO FXW $7 5HVRQDQFH 3DUDOOHO 0D[LPXP GULYH OHYHO eii P: 0RGH RI RSHUDWLRQ )XQGDPHQWDO &U\VWDO KROGHU +&ea o5e:on &2 ae S) PD[LPXP &0 iae I) W\SLFDO
L1
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
/;7eii= iieee 0ESV 7i ,QWHUIDFH $SSOLFDWLRQ
Figure 7 is a typical 1.544 Mbps T1 application of the LXT301Z. The LXT301Z is shown with a typical T1/ESF framer. An LXP600A Clock Adapter (CLAD) provides the
2.048 MHz system backplane clock, locked to the recovered 1.544 MHz clock signal. The power supply inputs are tied to a common bus with appropriate decoupling capacitors installed (68 F on the transmit side, 1.0 F and 0.1 F on the receive side).
)LJXUH aea 7\SLFDO /;7eii= iieee 0ESV 7i $SSOLFDWLRQ
7ii(6) )5$0(5
127( i
iieee 0+] &ORFN
0&/. 7&/. 7326 71(* *1'
75$16&(,9(5 7$26 //223 5/223 (&e (&e (&i 5*1' 59o 55,1* 57,3 05,1* 07,3 75,1* 79o
eei eei i9 iii ) i )
/;7eii=
iii N 9o eei N
7&/. 7326 71(*
ii N
ii N
51(* 5326 5&/.
iieee 0+]
51(* 5326 5&/.
9o
i N
(iieL
57 1i& '30 /26 77,3
iaiai 7i /,1( 5(&(,9(
eiiea 0+] &/.2
/;cii$ i cie &/., &/$' )6,
12132/$5,=(' iae iieae ) eie iieee 0ESV 75$160,7
127( i
7+( /;7eii= ,6 &203$7,%/( :,7+ $ :,'( 9$5,(7< 2) )5$0,1* $1' 6,*1$/,1* '(9,&(6n ,1&/8',1* 7+( '6eiai$n 07aaaecn $1' 5aiaeii
7*1'
o ca )
9o
eie
L1
$SSOLFDWLRQ ,QIRUPDWLRQ
/;7eii= eiiea 0ESV (i ,QWHUIDFH $SSOLFDWLRQ
Figure 8 is a typical 2.048 Mbps E1 application of the LXT301Z. The LXT301Z is shown with a typical E1/ CRC4 framer. Resistors are installed in line with the transmit transformer for loading a 75 coaxial cable. The inline resistors are not required for transmission on 120 )LJXUH aa 7\SLFDO /;7eii= aee (i $SSOLFDWLRQ
(ii&5&e )5$0(5
127( i
shielded twisted-pair lines. As in the T1 application Figure 7, this configuration is illustrated with a single power supply bus. The hard-wired control lines for TAOS, LLOOP and RLOOP are individually controllable, and the LLOOP and RLOOP lines are also tied to a single control for the Reset function.
eiiea 0+] &ORFN
0&/. 7&/. 7326 71(* *1'
75$16&(,9(5 7$26 //223 5/223 (&e (&e (&i 5*1' 59o 55,1* 57,3 05,1* 07,3 75,1* 79o
iei iei i9 iii F i )
/;7eii=
iii N 9o eei N
7&/. 7326 71(*
ii N
ii N
51(* 5326 5&/. Yo
51(* 5326 5&/. 57 1i& '30 /26 77,3 7*1'
o ca )
iaiai eiiea 0ESV 5(&(,9(
127( i
7+( /;7eii= ,6 &203$7,%/( :,7+ $ :,'( 9$5,(7< 2) )5$0,1* $1' 6,*1$/,1* '(9,&(6n ,1&/8',1* 7+( '6eiai$n 07aaaean $1' 5aiaeii
12132/$5,=(' iae iieae ) eie eie eiiea 0ESV 75$160,7
9o
L1
eie
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
7(67 63(&,),&$7,216
127( 7KH PLQLPXP DQG PD[LPXP YDOXHV LQ 7DEOHV c WKURXJK ie DQG )LJXUHV ii WKURXJK ie UHSUHVHQW WKH SHUIRUPDQFH VSHFLIL FDWLRQV RI WKH /;7eii=i/;7eii= DQG DUH JXDUDQWHHG E\ WHVWn H[FHSW ZKHUH QRWHG E\ GHVLJQi 7DEOH ca $EVROXWH 0D[LPXP 5DWLQJV 3DUDPHWHU '& VXSSO\ oUHIHUHQFHG WR *1'o ,QSXW YROWDJHn DQ\ SLQi ,QSXW FXUUHQWn DQ\ SLQe 6WRUDJH WHPSHUDWXUH 6\P 59on 79o 9,1 ,,1 767* &$87,21 0LQ iie 5*1' iie ii ce 0D[ cii 59o o iie ii iei 8QLWV 9 9 P$ &
2SHUDWLRQV DW RU EH\RQG WKHVH OLPLWV PD\ UHVXOW LQ SHUPDQHQW GDPDJH WR WKH GHYLFHi 1RUPDO RSHUDWLRQ LV QRW JXDUDQWHHG DW WKHVH H[WUHPHVi
ii ([FOXGLQJ 57,3 DQG 55,1* ZKLFK PXVW VWD\ EHWZHHQ c9 DQG o59o o iieo 9i ei 7UDQVLHQW FXUUHQWV RI XS WR iii P$ ZLOO QRW FDXVH 6&5 ODWFK XSi 77,3n 75,1*n 79o DQG 7*1' FDQ ZLWKVWDQG D FRQWLQXRXV FXUUHQW RI iii P$i
7DEOH aea 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQV 3DUDPHWHU '& VXSSO\i $PELHQW RSHUDWLQJ WHPSHUDWXUH
ii 79o PXVW QRW H[FHHG 59o E\ PRUH WKDQ iie 9i
6\P 59on 79o 7$
0LQ eiaee ei
7\S eii ee
0D[ eiee ae
8QLWV 9 &
7DEOH aa (OHFWULFDO &KDUDFWHULVWLFV o8QGHU 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQVo 3DUDPHWHU +LJK OHYHO LQSXW YROWDJHine oSLQV ien iin eeeao /RZ OHYHO LQSXW YROWDJH
ine
6\P 9,+ 9,/ 92+ 92/ ,// ,// ,e/ 3'
0LQ eii eie ii ei ii
7\S
0D[ iia iie oii oei oii aeii
8QLWV 9 9 9 9 $ $ $ P:
7HVW &RQGLWLRQV
oSLQV ien iin eeeao oSLQV can iin ien een eeo oSLQV can iin ien een eeo
+LJK OHYHO RXWSXW YROWDJH /RZ OHYHO RXWSXW YROWDJH
ine
,287 ,287
eii $ iic P$
ine
,QSXW OHDNDJH FXUUHQW oSLQV ien DQG eeeao ,QSXW OHDNDJH FXUUHQW oSLQV an iaen DQG iao 7KUHHVWDWH OHDNDJH FXUUHQW oSLQ eeo 7RWDO SRZHU GLVVLSDWLRQe
i
iiio RQHV GHQVLW\ / PD[LPXP OLQH OHQJWK # eiee 9
ii )XQFWLRQDOLW\ RI SLQV ee DQG ee GHSHQGV RQ PRGHi 6HH +RVWi+DUGZDUH 0RGH GHVFULSWLRQVi ei 2XWSXW GULYHUV ZLOO RXWSXW &026 ORJLF OHYHOV LQWR &026 ORDGVi ei 3RZHU GLVVLSDWLRQ ZKLOH GULYLQJ D ee ORDG RYHU RSHUDWLQJ WHPSHUDWXUH UDQJHi ,QFOXGHV GHYLFH DQG ORDGi 'LJLWDO LQSXW OHYHOV DUH ZLWKLQ iio RI WKH VXSSO\ UDLOV DQG GLJLWDO RXWSXWV DUH GULYLQJ D ei S) FDSDFLWLYH ORDGi
eie
L1
7HVW 6SHFLILFDWLRQV
7DEOH aa $QDORJ &KDUDFWHULVWLFV o8QGHU 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQVo 3DUDPHWHU $0, RXWSXW SXOVH DPSOLWXGHV '6;i (i oiei ) (i oaee ) 7UDQVPLW DPSOLWXGH YDULDWLRQ ZLWK VXSSO\ 5HFRPPHQGHG RXWSXW ORDG DW 77,3 DQG 75,1* 'ULYHU RXWSXW LPSHGDQFHe ii +] a N+]e -LWWHU DGGHG E\ WKH WUDQVPLWWHUe a N+] ei N+] ii +] ei N+] %URDG %DQG 2XWSXW SRZHU OHYHOVe '6i e N+] %: # aeaee N+] # ieee N+]e
c
0LQ eie eiae eiie
7\Si eii eii eieae i
0D[ eic eie eic eie ii iiii iiiee iiiee iiie iaeia iie aeae eae iai
8QLWV 9 9 9 % 8, 8, 8, 8, G%P G% G% G% P9 k 9 o SHDN o SHDN 8, 8, 8, +] GE 8,
7HVW &RQGLWLRQV PHDVXUHG DW WKH '6; PHDVXUHG DW OLQH VLGH # aeaee N+]
ieic eaii
ee e ei iie aei ei iaee ieii e ei
57,3 WR 55,1* # ii N+]
3RVLWLYH WR QHJDWLYH SXOVH LPEDODQFH 6HQVLWLYLW\ EHORZ '6; oi G% eie 9o
ieic eii
5HFHLYHU LQSXW LPSHGDQFH /RVV RI 6LJQDO WKUHVKROG 'DWD GHFLVLRQ WKUHVKROG '6;i (i $OORZDEOH FRQVHFXWLYH ]HURV EHIRUH /26 ,QSXW MLWWHU WROHUDQFH ii +] aeaee +] ii N+] iii N+] -LWWHU DWWHQXDWLRQ FXUYH FRUQHU IUHTXHQF\ -LWWHU DWWHQXDWLRQ -LWWHU DWWHQXDWLRQ WROHUDQFH EHIRUH ),)2 2YHUIORZ
e e
ce ee ici ie iie ea
ii 7\SLFDO YDOXHV DUH PHDVXUHG DW ee & DQG DUH IRU GHVLJQ DLG RQO\a QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJi ei 1RW SURGXFWLRQ WHVWHG EXW JXDUDQWHHG E\ GHVLJQ DQG RWKHU FRUUHODWLRQ PHWKRGVi ei ,QSXW VLJQDO WR 7&/. LV MLWWHUIUHHi ei &LUFXLW DWWHQXDWHV MLWWHU DW ei G%iGHFDGH DERYH WKH FRUQHU IUHTXHQF\i ei 5HIHUHQFHG WR SRZHU LQ e N+] EDQGi ci :LWK D PD[LPXP RI c G% RI FDEOH DWWHQXDWLRQi
L1
eie
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
)LJXUH aa /;7eii= 5[ -LWWHU 7ROHUDQFH o7\SLFDOo
iiiii 8,
ieii 8, iiii 8,
iea 8, iii 8,
-LWWHU
ea 8, ii 8,
3XE ceeii 'HF iaai
/;7eii= 3HUIRUPDQFH
iie 8, i 8, iie 8, iie 8, iii 8, eii eii
iie 8, ei N+] ii N+] iii N+]
i +]
ii +]
ei
iii +]
i N+]
)UHTXHQF\
)LJXUH iia /;7eii= 5[ -LWWHU 7UDQVIHU 3HUIRUPDQFH o7\SLFDOo
ei G% $7/7 ceeii 7HPSODWH 6ORSH HTXLYDOHQW WR ei G% SHU GHFDGH &&,77 *iaeee 7HPSODWH 6ORSH HTXLYDOHQW WR ei G% SHU GHFDGH
iie G% i e +] i G% ii G%
iie G% i ei +]
*DLQ
iaie G% i eii +]
ei G% ei G% ei G% ci G% ii +] ei +] ii +] ii +]
iaie G% i iii +]
$7/7 ceeii 7HPSODWH 6ORSH HTXLYDOHQW WR ei G% SHU GHFDGH 7\SLFDO /;7eii= 3HUIRUPDQFH
ieei +] ii +] ii +] ii +]
)UHTXHQF\
eic
L1
7HVW 6SHFLILFDWLRQV 7DEOH iia /;7eii= 5HFHLYHU 7LPLQJ &KDUDFWHULVWLFV o6HH )LJXUH iio
3DUDPHWHU 5HFHLYH FORFN GXW\ F\FOH 5HFHLYH FORFN SXOVH ZLGWKe 5326i51(* WR 5&/. ULVLQJ VHWXS WLPH 5&/. ULVLQJ WR 5326i51(* KROG WLPH '6;i (i '6;i (i '6;i (i 6\P 5&/.G W3: W3: W685 W685 W+5 W+5 0LQ ei 7\Si eee eee eaee iae eaee iae 0D[ ci 8QLWV o QV QV QV QV QV QV 7HVW &RQGLWLRQV
ii 7\SLFDO YDOXHV DUH DW ee & DQG DUH IRU GHVLJQ DLG RQO\a WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJi
)LJXUH iia /;7eii= 5HFHLYH &ORFN 7LPLQJ 'LDJUDP W3: W3:+ 5&/. W685 5326 51(* 5326 51(* W685 W+5 &/.(
+RVW 0RGH +i: 0RGH
W3:/
W+5 +RVW 0RGH
&/.( i
in /
L1
eiae
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
7DEOH iia /;7eii= 5HFHLYH 7LPLQJ &KDUDFWHULVWLFV o6HH )LJXUH ieo 3DUDPHWHU 5HFHLYH FORFN GXW\ F\FOHe 5HFHLYH FORFN SXOVH ZLGWKe 5HFHLYH FORFN SXOVH ZLGWK KLJK 5HFHLYH FORFN SXOVH ZLGWK ORZ 5326i51(* WR 5&/. ULVLQJ VHWXS WLPH 5&/. ULVLQJ WR 5326i51(* KROG WLPH '6;i (i '6;i (i '6;i (i '6;i (i '6;i (i '6;i (i 6\P 5&/.G 5&/.G W3: W3: W3:+ W3:+ W3:/ W3:/ W685 W685 W+5 W+5 0LQ ei ei eae eeae eaei eie ei ei ei ei 7\Si ei ei cea eaa eee eee eee eee eaei eie eaei eie 0D[ ci ci aeie eea eaea eae 8QLWV o o QV QV QV QV QV QV QV QV QV QV 7HVW &RQGLWLRQV
ii 7\SLFDO YDOXHV DUH DW ee & DQG DUH IRU GHVLJQ DLG RQO\a WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJi ei 5&/. GXW\ F\FOH ZLGWKV ZLOO YDU\ GHSHQGLQJ RQ H[WHQW RI UHFHLYHG SXOVH MLWWHU GLVSODFHPHQWi 0D[ DQG 0LQ 5&/. GXW\ F\FOHV DUH IRU ZRUVW FDVH MLWWHU FRQGLWLRQV oiie 8, FORFN GLVSODFHPHQW IRU iieee 0+]n iie 8, FORFN GLVSODFHPHQW IRU eiiea 0+]oi
)LJXUH iea /;7eii= 5HFHLYH &ORFN 7LPLQJ 'LDJUDP W3: W3:/ 5&/. W685 5326 51(* W+5 W3:+
eia
L1
7HVW 6SHFLILFDWLRQV
7DEOH iea /;7eii=ieii= 0DVWHU &ORFN DQG 7UDQVPLW 7LPLQJ &KDUDFWHULVWLFV o6HH )LJXUH ieo 3DUDPHWHU 0DVWHU FORFN IUHTXHQF\ 0DVWHU FORFN WROHUDQFH 0DVWHU FORFN GXW\ F\FOH &U\VWDO IUHTXHQF\ o/;7eii= RQO\o '6;i (i '6;i (i '6;i (i 6\P 0&/. 0&/. 0&/.W 0&/.G IF IF 7&/. 7&/. 7&/.W 7&/.G W687 W+7 0LQ ei ii ee ee 7\Si iieee eiiea `iii ciiaec aiiae iieee eiiea `ei 0D[ ci ai 8QLWV 0+] 0+] SSP o 0+] 0+] 0+] 0+] SSP o QV QV
7UDQVPLW FORFN IUHTXHQF\ 7UDQVPLW FORFN WROHUDQFH 7UDQVPLW FORFN GXW\ F\FOH 7326i71(* WR 7&/. VHWXS WLPH 7&/. WR 7326i71(* KROG WLPH
ii 7\SLFDO YDOXHV DUH DW ee & DQG DUH IRU GHVLJQ DLG RQO\a WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJi ei 1RW SURGXFWLRQ WHVWHG EXW JXDUDQWHHG E\ GHVLJQ DQG RWKHU FRUUHODWLRQ PHWKRGVi
)LJXUH iea /;7eii=ieii= 7UDQVPLW &ORFN 7LPLQJ 'LDJUDP
7&/. W687 7326 71(* W+7
L1
eia
/;7eii= i /;7eii= $GYDQFHG 7ii(i 6KRUW+DXO 7UDQVFHLYHUV
7DEOH iea /;7eii= 6HULDO ,i2 7LPLQJ &KDUDFWHULVWLFV o6HH )LJXUHV ie DQG ieo 3DUDPHWHU 5LVHi)DOO WLPH DQ\ GLJLWDO RXWSXW 6', WR 6&/. VHWXS WLPH 6&/. WR 6', KROG WLPH 6&/. ORZ WLPH 6&/. KLJK WLPH 6&/. ULVH DQG IDOO WLPH CS WR 6&/. VHWXS WLPH 6&/. WR CS KROG WLPH CS LQDFWLYH WLPH 6&/. WR 6'2 YDOLG 6&/. IDOOLQJ HGJH RU CS ULVLQJ HGJH WR 6'2 KLJK = 6\P W5) W'& W&'+ W&/ W&+ W5n W) W&& W&&+ W&:+ W&'9 W&'= 0LQ ei ei eei eei ei ei eei 7\Si iii 0D[ iii ei eii 8QLWV QV QV QV QV QV QV QV QV QV QV QV 7HVW &RQGLWLRQV /RDG iic P$n ei S)
ii 7\SLFDO YDOXHV DUH DW ee & DQG DUH IRU GHVLJQ DLG RQO\a WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJi
)LJXUH iea /;7eii= 6HULDO 'DWD ,QSXW 7LPLQJ 'LDJUDP
&6 W&+ W&&+ W&/ W&:+
6&/.
W&&
W'& 6', /6%
W&'+ /6% &21752/ %<7( '$7$ %<7(
W&'+ 06%
)LJXUH iea /;7eii= 6HULDO 'DWD 2XWSXW 7LPLQJ 'LDJUDP
&6 W&'= 6&/. W&'9
&/.( i
W&'=
6'2 W&'9
+,*+ =
&/.( i
6'2
+,*+ =
eei
L1


▲Up To Search▲   

 
Price & Availability of LXT300Z

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X